最新要闻
- 当前快看:烟台翁婿二人先后跳进湖中勇救落水母子
- 焦点快报!俞敏洪:每天工作16小时不觉得累 有种内在动力
- 大妈称月薪5000生娃比赚5个亿优秀:网友激烈对吵
- 当前消息!锐龙5 5600X3D来了!Zen3 6核心配99MB缓存 价格超诱人
- 迈阿密国际老板:梅西年薪5-6千万美元 退役将获球队股份&转播权
- 超薄、超强的ITX迷你主板:轻松四屏4K 就是太贵!|世界观速讯
- 当前观点:成都一草坪惊现上百条“蚊卷风”:都是为了交配
- 环球看点!王宝强导演电影票房破10亿:主演票房达173.5亿
- V社回应拒绝上架AI制作游戏:为确保开发者有素材所有权-世界速看
- 全球快讯:多点DMALL,困于三重门
- 被迪王支配的恐惧!比亚迪6月销量破25万辆:创自主品牌历史新高
- YouTube“挥刀”砍向广告拦截器:使用后仅能播放三个视频
- 这就是Windows和macOS差距!科技大佬称MacBook十年从未用过鼠标|世界时快讯
- AI涨不动了!ChatGPT访问量骤降:增速下滑至2.8% 焦点短讯
- 天天热讯:巨轮智能:公司近期经营情况正常 内外部经营环境未发生重大变化
- 美国《国家地理》回应传闻:不会停刊、不影响内容质量
广告
手机
光庭信息跌4.57% 2021上市超募11亿2022扣非降74% 时快讯
搜狐汽车全球快讯 | 大众汽车最新专利曝光:仪表支持拆卸 可用手机、平板替代-环球关注
- 光庭信息跌4.57% 2021上市超募11亿2022扣非降74% 时快讯
- 搜狐汽车全球快讯 | 大众汽车最新专利曝光:仪表支持拆卸 可用手机、平板替代-环球关注
- 视点!美国首位女总统即将诞生?拜登恐怕要提前下岗,美政坛迎来变局?
- 当前速递!用理想仪器实现更好的颗粒 德国新帕泰克亮相CPHI & PMEC China获好评
- 微粒贷怎么申请开通 开通方法如下
- 焦点简讯:心疼!这位40岁的云南缉毒警,已是满头白发
家电
21-数码管静态显示
(资料图片仅供参考)
1.数码管静态显示
- 数码管是一种半导体发光器件,其基本单元是发光二极管
- 常见的数码管有七段数码管和八段数码管(相差一个小数点),还有米字管,十六段管等
- 八段发光数码管每一段对应a,b,c,d,e,f,g,dp(小数点)
- 八段数码管有十个管教,八段+两个公共端com,与数码管内部是导通的
- 八段数码管又分为共阴极数码管和共阳极数码管,共阴极和共阳极数码管就是将二极管的阴极或者是阳极在数码管内部进行连接起来
1.1 数码管的信息显示
- 如果显示数字0,那么在0对应的段的端口输入低电平,就可以显示0,a,b,c,d,e,f都点亮,g和dp都是熄灭
- 十六进制断码中:a对应的二进制数的最低位
1.2 多位八段数码管
- 六位八段数码管
- 是否需要48个端口信号?在使用多位8段数码管的时候,为了减少段选的IO口,可以将多个数码管连接起来使用位选信号进行控制,可以通过哪几个数码管
- 段选信号连在一起,位选信号选择的数码管显示的值是一样的,这就是数码管的静态显示
- 使用段选+位选的方式只占据14个IO口,但是还是比较多,使用位移缓存器74HC595
- 74HC595将串行数据转换为并行数据,最低位对应q7,最高位对应q0
- 内部具有8位移位寄存器,存储器和三态输出
- 74HC595只有8bit,要满足14bit输入需要两片级联
1.3 串行数据传递顺序
- DIG6 - 对应位选的第0位,DIG1 - 对应位选的第5位
- 先传位选信号再传段选信号,位选信号从低位到高位,段选信号从高位到低位
1.4 74HC595使用
使用74HC595芯片,只需要将其四个端口连接到FPGA芯片,就可以使用,所以节省14-4 = 10个IO口
- DS\SHCP\STCP\OE"端
- MR"主复位端,低电平有效,MR"有效,可以将移位寄存器中的值进行清0,通常将其接到Vcc,使其为1
- DS端口,与FPGA芯片进行连接,通过这个端口将串行输入到移位寄存器中
- SHCP端口,是移位寄存器时钟输入,在上升沿将输入的串行数据移入到移位寄存器当中,注意是移位寄存器,当下一个时钟上升沿到来的之后,上一个上升沿传入的数据就会向下移动一位,如果是串行输入8bit数据,低位传入的数据就回移动到最后面,如果一次输入的超过8bit,比如14bit数据,最先输入的6bit就会通过Q7S端口输出,与下一个595芯片的DS端口连接,就相当于先前输入的6bit会输入到下一个595芯片当中
- STCP存储寄存器时钟,在上升沿时,会将移位寄存器中的数据写入到存储寄存器中
- OE"端口信号有效时,就可以将存储寄存器数据通过端口并行输出,输出端口与数码管相连接
- 通过DS端口传入串行数据,产生SHCP时钟,将DS输入的数据串行移入到移位寄存器中,产生STCP时钟将移位寄存器中的数据传入到存储寄存器中,产生OE信号将存储寄存器中的数据输出
2.FPGA
- 000000 - FFFFFF,实现六位数码管循环静态显示,每个数值显示时间是0.5s
2.1 框图
- 输入信号只有时钟和复位信号
- 输出信号有和595芯片连接的四个信号
2.1.1 子功能模块框图绘制
- 可以生成两个子功能模块,第一个模块生成位选和片选信号,第二个模块负责驱动595芯片
- 位选信号是6bit信号,控制6个数码管,段选信号是8bit
2.1.2 系统模块框图绘制
- 绘制系统框图,可以了解子功能模块的关系
- 了解子功能模块之间信号关系
2.2 波形图
2.2.1 产生段选和位选的控制信号
- 输入信号:时钟和复位
- 每个数字需要维持0.5s,需要计数器
- 数码管显示:通过一个变量(data)控制字符的切换,每经过一个0.5s就切换一个数值,16个循环
- 为了控制字符显示,生成cnt_flag信号
2.2.2 产生控制信号的模块波形
- 定义一个变量data 14bit进行拼接
- 进行时钟的分频,使用计数器进行时钟分频,时钟信号不能太高也不能太低
- 50M时钟进行四分频12.5M
- 再声明一个计数器对于输出的bit数进行计数cnt_bit,分频时钟每经过一个周期,输出一个数,cnt_bit计数器加1,计数到最大值13清0
- ds信号,初值为0,其他时刻ds等于data数据的从高到低的bit位的值(data[cnt_bit])
- shcp,为了能够准确采集到数据,要在分频时钟中间采样(上升沿位于分频时钟中间)
- shtp,14bit数据在存储在移位寄存器之后,产生一个高电平,将移位寄存器的数据移动存储寄存器
- oe信号,低电平有效,保持低电平有效即可
2.3 RTL
module seg_static #(parameter CNT_MAX = 25"d24_999_999)(input wrie sys_clk,input wire sys_rst_n,output reg [5:0] sel,output reg [7:0] seg,);reg [24:0] cnt;reg [3:0] data;reg cnt_flag;always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1"b0)cnt <= 25"d0;else if(cnt == CNT_MAX)cnt <= 25"d0;else cnt <= cnt + 1"b1;always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1"b0)data <= 4"d0;else if((cnt_flag == 1"b1) && (data == 4"d15))data <= 4"d0; else if(cnt_flag == 1"b1) data <= data + 1"b1;else data <= data;always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1"b0)cnt_flag <= 1"b0else if(cnt == CNT_MAX-1)cnt_flag <= 1"b1;else cnt_flag <= 1"b0;always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1"b0)sel <= 6"b000_000;else sel <= 6"b111_111;always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1"b0)seg <= 8"hff;else case (data)4"d0:seg <= 8"hc0;4"d0:seg <= 8"hf9;4"d0:seg <= 8"ha4;4"d0:seg <= 8"hb0;4"d0:seg <= 8"h99;4"d0:seg <= 8"h92;4"d0:seg <= 8"h82;4"d0:seg <= 8"hf8;4"d0:seg <= 8"h80;4"d0:seg <= 8"h88;4"d0:seg <= 8"h90;4"d0:seg <= 8"h83;4"d0:seg <= 8"hc6;4"d0:seg <= 8"ha1;4"d0:seg <= 8"h86;4"d0:seg <= 8"h8e;default:seg <= 8"hff;endcaseendmodule
- 段码可以参数化
module hc595_ctrl(input wire sys_clk,input wire sys_rst_n,input wire [5:0] sel,input wire [7:0] seg,output reg ds,output reg shcp,output reg stcp,output wire oe);wire [13:0] data;reg [1:0] cnt;reg [3:0] cnt_bit;assign data = {seg[0],seg[1],seg[2],seg[3],seg[4],seg[5],seg[6],seg[7],sel};always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1"b0)cnt <= 2"d0;else if(cnt == 2"d3)cnt <= 2"d0;elsecnt <= cnt + 1"b1;always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1"b0)cnt_bit <= 4"d0;else if((cnt_bit == 4"d13) && (cnt == 2"d3))cnt_bit <= 4"d0;else if(cnt == 2"d3)cnt_bit <= cnt_bit + 1"b1;elsecnt_bit <= cnt_bitalways@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1"b0)ds <= 1"b0;else if(cnt == 2"d0)ds <= data[cnt_bit]elseds <= ds;always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1"b0)shcp <= 1"b0;else if(cnt == 2"d2)shcp <= 1"b1;else if(cnt == 2"d0)shcp <= 1"b0;elseshcp <= shcp;always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1"b0)stcp <= 1"b0;else if((cnt_bit == 4"d0) && (cnt == 2"d0))stcp <= 1"b1;else if((cnt_bit == 4"d0) && (cnt == 2"d2))stcp <= 1"b1;elsestcp <= stcp;assign oe <= 1"b0;endmodule
top
module seg_595_static (input wire sys_clk,input wire sys_rst_n,output wire ds,output wire shcp,output wire stcp,output wire oe)// 将子模块的输出信号引出wire [5:0] sel;wire [7:0] sel;// 例化子模块seg_static # (.CNT_MAX (25"d24);)seg_static_inst(.sys_clk (sys_clk),.sys_rst_n (sys_rst_n)..sel (sel),.seg (seg));hc595_ctrl hc595_ctrl_inst(.sys_clk (sys_clk), .sys_rst_n (sys_clk),.sel (sel), .seg (seg),.ds (ds),.shcp (shcp),.stcp (stcp), .oe (oe));endmodule
2.4 testbench
// tbmodule tb_seg_static ();reg sys_clk;reg sys_rst_n;wire [5;0] sel;wire [7:0] seg;initial beginsys_clk = 1"b1;sys_rst_n <= 1"b0;#20;sys_rst_n <= 1"b1;endalways #10 sys_clk =~sys_clk;seg_static#(.CNT_MAX (25"d24))seg_static_inst(.sys_clk (sys_clk),.sys_rst_n (sys_rst_n),.sel (sel),.seg (seg));endmodule
顶层模块tb
// tb`timescale 1ns/1nsmodule tb_seg_595_static ();reg sys_clk,reg sys_rst_n,wire ds,wire shcp,wire stcp,wire oe,initial beginsys_clk = 1"b1;sys_rst_n <= 1"b0;#20;sys_rst_n <= 1"b1;endalways #10 sys_clk =~sys_clk;seg_595_static seg__595_static_inst(.sys_clk (sys_clk),.sys_rst_n (sys_clk), .ds (ds), .shcp (shcp), .stcp (stcp), .oe (oe)); endmodule
关键词:
21-数码管静态显示
文心一言 VS 讯飞星火 VS chatgpt (53)-- 算法导论6.2 5题|百事通
主题 3 编辑器(Vim)
当前快看:烟台翁婿二人先后跳进湖中勇救落水母子
焦点快报!俞敏洪:每天工作16小时不觉得累 有种内在动力
大妈称月薪5000生娃比赚5个亿优秀:网友激烈对吵
全球快播:(一)kafka从入门到精通之初识kafka
当前消息!锐龙5 5600X3D来了!Zen3 6核心配99MB缓存 价格超诱人
开心档之C++ 数组|全球即时
迈阿密国际老板:梅西年薪5-6千万美元 退役将获球队股份&转播权
超薄、超强的ITX迷你主板:轻松四屏4K 就是太贵!|世界观速讯
当前观点:成都一草坪惊现上百条“蚊卷风”:都是为了交配
环球看点!王宝强导演电影票房破10亿:主演票房达173.5亿
FullGC调优100倍,掌握这3招,吊打JVM调优|世界今日报
今头条!css学习(二)
V社回应拒绝上架AI制作游戏:为确保开发者有素材所有权-世界速看
全球快讯:多点DMALL,困于三重门
被迪王支配的恐惧!比亚迪6月销量破25万辆:创自主品牌历史新高
YouTube“挥刀”砍向广告拦截器:使用后仅能播放三个视频
这就是Windows和macOS差距!科技大佬称MacBook十年从未用过鼠标|世界时快讯
AI涨不动了!ChatGPT访问量骤降:增速下滑至2.8% 焦点短讯
LinuxDNS分析从入门到放弃(记一次有趣的dns问题排查记录,ping 源码分析,getaddrinfo源码分析)
Linux三剑客grep、sed、awk(包括练习题)|环球热推荐
天天热讯:巨轮智能:公司近期经营情况正常 内外部经营环境未发生重大变化
美国《国家地理》回应传闻:不会停刊、不影响内容质量
新西兰升级禁塑令:违者将被罚款44万元 世界速递
【世界报资讯】IOS开发-实现一个高度自适应的UILabel和一个宽度自适应的UILabel
Kali Linux 配置系统代理_当前热闻
中外合作办学 授予中、英学士学位 凯里学院这个专业计划招生120人
终结日本队5连冠!中国女篮时隔12年再得亚洲杯冠军
惠普发布新款游戏显示器:2K IPS屏、240Hz高刷|播资讯
全球简讯:泰州市召开“泰惠农•金融服务乡村振兴”农业农村重大项目专题对接会
Jar包开发之【有之则用,无之则禁】|世界新要闻
CentOS 9 x64 使用 Nginx、Supervisor 部署 Go/Golang 服务
spring启动流程 (2) Bean实例化流程 世界短讯
【全球新视野】问界M5智驾版发布全新OTA升级 加入全新智驾领航辅助等功能
真世界霸主!任天堂第一方收入远超索尼、微软
6月北京口岸出入境人员突破88万,再创今年出入境流量新高 全球观点
特斯拉将采用线控转向技术 Yoke方向盘能否全面普及? 环球要闻
20万买“小奔驰”图什么?
卷疯了!全新马自达3昂克赛拉正式上市 9.99万到底值不值?_观点
中非经贸博览会指定用车,岚图梦想家到底哪里好? 焦点热议
AMD食言了!说好的显卡性能神技HYPR-RX跳票
至高优惠200元 华为MatePad Air 原野绿新色上市开启预售 天天快资讯
新机蓄势待发!Switch后续机型开发套件或已抵达西班牙-环球观热点
汪小菲“趁火打劫”成功,将接子女回北京,大s妥协原因引热议_全球热头条
大V吐槽极氪倒车影像“骗人”bug:让他撞了两次车
马斯克缺钱?推特限制用户浏览量:不开会员每天只能看1000条_今日视点
动态:硕士被曝制作全校学生颜值打分网站供人查看 人大回应
Python 使用 NetworkX
当前关注:excel图表制作折线图数据选择_excel图表制作折线图
华为智选车将建汽车独立门店 知情人士:经销商加盟 华为话语权更大
20万内仅有的换电SUV来了!吉利睿蓝7即将上市
切比雪夫距离
全球微动态丨日方首度确认!中国两栖攻击舰路过鹿儿岛
俩南方小伙山东旅游点6个菜吃不完 被北方菜“上了一课”-世界短讯
广东一电摩自燃 30辆车被连累烧成空壳!千万别在室内充电
俄罗斯恢复飞往古巴的定期航班
人大金仓学习之一_kwr的简单学习_环球看点
前端Vue基于腾讯地图Api实现的选择位置组件 返回地址名称详细地址经纬度信息|世界焦点
今日要闻!民主测评软件需求分析与实现
6大人争接萌娃放学 最后姥爷赢麻了:画面让网友笑翻-环球快资讯
美国一女子去世7只猫继承217万遗产引热议:上百人抢着领养
公共气象服务中心:预警“叫应”联动服务信息化建设_每日热门
每日看点!选读SQL经典实例笔记01_检索和排序
学霸、体育才女!谷爱凌大一课程全A 曾自称成绩100%自己努力得到的
华硕全球首发RTX 4060 Ti神卡:能扩展M.2 SSD
当前短讯!威马疑又停薪,仅发中高层工资!“讨债大军”攻陷官方微博
国科大校长谈龙芯之母说着说着就哭了:别让“卡脖子”遏制我们发展 当前报道
【WALT】update_window_start() 代码详解
国外RTX 4090销售陷入困境 愁坏了很多零售商
孙女给生活不能自理爷爷洗澡引争议 当事人:孝心不分男女 天天头条
升级iOS 17还要交钱?跟iOS 16对比后拔草了!立省688元
今日播报!Apple Watch新款感知不强!500块的S4最香
做“有温度”的就业指导服务|世界新视野
每日热文:大姨妈不正常怎么办吃什么好呢_大姨妈不正常怎么办
【世界速看料】茅坑里的石头是哪种人_茅坑里的石头是什么意思
【聚看点】go src - sync.Map
环球观天下!Web安全-渗透测试-权限提升01
焦点快报!116-104!山东高速力克北京首钢,山东试训外援首秀轻取19分
63岁男子向熊猫投掷苹果惹众怒 后果来了:被终身禁入
宝镇
天玑9200+性价王者预定!Redmi K60 Ultra第三方保护壳现身|全球新视野
支持正版:英国为采购Office刚花了71亿|天天快看
全球热资讯!7月新农合再涨40元,一市明确每人590元,今年你还交吗?
长城站起来!哈弗枭龙系列第1万台下线:6月热销6098台暴增97%
复兴号正式在青藏铁路投入运行:海拔3000米 零下40度也能跑
曼高德谈《夺宝奇兵5》
天天快讯:传奇设计大师去世:“我从不设计丑陋东西”
焦点精选!第一部8.0分不值一提!美国年度科幻大片续集来了
协鑫宣布年产36万吨储能正极材料项目今日投产-世界热讯
张雪峰老师推荐的十大高薪专业引发热议:计算机类霸榜 文科中仅法语入围 天天速读
丰田章男工资曝光:9.99亿日元丰田汽车史上最高! 环球微头条
RPM安装的Oracle19c 修改init.ora进行修复以及最简单开机启动Oracle的方法 世界百事通
markdown终极指南 观点
100%纯棉、亲肤舒适:布静观纯棉短袖13元、长袖16元
环球视讯!多地高温难耐 黑龙江却遭遇特大冰雹:砸碎几乎整栋楼玻璃
环球新消息丨安卓性能标杆!一加Ace 2 Pro来了:5200mAh+骁龙8 Gen2
米体:汉达可能降薪续约留在国米,下赛季担任二号门将 全球微动态
mosquitto的基本参数使用